.TH "std::gslice" 3cxx "Fri Dec 2 2016" "libstdc++" \" -*- nroff -*- .ad l .nh .SH NAME std::gslice \- .SH SYNOPSIS .br .PP .SS "Public Member Functions" .in +1c .ti -1c .RI "\fBgslice\fP ()" .br .ti -1c .RI "\fBgslice\fP (size_t __o, const \fBvalarray\fP< size_t > &__l, const \fBvalarray\fP< size_t > &__s)" .br .ti -1c .RI "\fBgslice\fP (const \fBgslice\fP &)" .br .ti -1c .RI "\fB~gslice\fP ()" .br .ti -1c .RI "\fBgslice\fP & \fBoperator=\fP (const \fBgslice\fP &)" .br .ti -1c .RI "\fBvalarray\fP< size_t > \fBsize\fP () const" .br .ti -1c .RI "size_t \fBstart\fP () const" .br .ti -1c .RI "\fBvalarray\fP< size_t > \fBstride\fP () const" .br .in -1c .SS "Friends" .in +1c .ti -1c .RI "template class \fBvalarray\fP" .br .in -1c .SH "Detailed Description" .PP Class defining multi-dimensional subset of an array\&. The slice class represents a multi-dimensional subset of an array, specified by three parameter sets: start offset, size array, and stride array\&. The start offset is the index of the first element of the array that is part of the subset\&. The size and stride array describe each dimension of the slice\&. Size is the number of elements in that dimension, and stride is the distance in the array between successive elements in that dimension\&. Each dimension's size and stride is taken to begin at an array element described by the previous dimension\&. The size array and stride array must be the same size\&. .PP For example, if you have offset==3, stride[0]==11, size[1]==3, stride[1]==3, then slice[0,0]==array[3], slice[0,1]==array[6], slice[0,2]==array[9], slice[1,0]==array[14], slice[1,1]==array[17], slice[1,2]==array[20]\&. .PP Definition at line 64 of file gslice\&.h\&. .SH "Author" .PP Generated automatically by Doxygen for libstdc++ from the source code\&.