.\" .\" This file is part of the Alliance CAD System .\" Copyright (C) Laboratoire LIP6 - Département ASIM .\" Universite Pierre et Marie Curie .\" .\" Home page : http://www-asim.lip6.fr/alliance/ .\" E-mail : mailto:alliance-users@asim.lip6.fr .\" .\" This progam is free software; you can redistribute it and/or modify it .\" under the terms of the GNU General Public License as published by the .\" Free Software Foundation; either version 2 of the License, or (at your .\" option) any later version. .\" .\" Alliance VLSI CAD System is distributed in the hope that it will be .\" useful, but WITHOUT ANY WARRANTY; without even the implied warranty of .\" MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General .\" Public License for more details. .\" .\" You should have received a copy of the GNU General Public License along .\" with the GNU C Library; see the file COPYING. If not, write to the Free .\" Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. .\" .\" .\" Tool : Man pages .\" Date : 1991,92,2000 .\" Author : Luc Burgun, Pascale Allegre, Nathalie Dictus .\" Modified by Czo 1996,97 .\" Modified by francois Donnet 2000 .\" .\" .\" .\" .\" .pl -.4 .TH BOOG 1 "Jun 29 2000" "ASIM/LIP6" "CAO\-VLSI Reference Manual" .SH NAME .TP BooG \- Binding and Optimizing On Gates. .so buster/alliance/alc_origin.1.en.gz .SH SYNOPSIS .TP \f4boog\fP [-hmxold] \fIinput_file\fP \fIoutput_file\fP [\fIlax_file\fP] .br .SH DESCRIPTION .br \f4boog\fP is a mapper of a behavioural description onto a predefined standard cell library as SXLIB. It is the second step of the logic synthesis: it builds a gate network using a standard cell library. .br .br \f4 Input file description\fP .br The logic level behavioural description (.vbe file) uses the same VHDL subset as the logic simulator \f4asimut\fP, the FSM synthesizer \f4syf\fP, the functional abstractor \f4yagle\fP and the formal prover \f4proof\fP (for further information about the subset of VHDL, see the "vbe" manual). .br Some constraints due to hardware mapping exist. These attributes are only supported by technology mapping onto a standard cell library as \f4sxlib\fP. .br For the register signal description, only one condition statement must appear. STABLE must be strictely used as a negativ motion and joined to clock setup value. Setup can be on high or low value, but it would be worthy to choose it accordingly with hardware register cell. .br \fI# Example\fP label: BLOCK (NOT ck 'STABLE and ck='1') BEGIN reg <= GUARDED expr; END BLOCK; You can also put a write enable condition to your register: label: BLOCK (NOT ck 'STABLE and ck='1' and wen='1') BEGIN reg <= GUARDED expr; END BLOCK; .fi .ti 7 A special feature has been introduced in the VHDL subset in order to allow the don't care description for external outputs and internal registers : A bit signal can take the 'd' value. This value is interpreted as a '0' by the logic simulator \f4asimut\fP. Don't Cares are automatically generated by \f4syf\fP in the resulting '.vbe' file. .br \f4 Output file description\fP .br A pure standard cell netlist is produced by \f4boog\fP. This file is destinated for /fBloon/fP alliance utility to improve RC delays. Any equipotential keeps its name from connector to connector. In trouble case, buffers are inserted to respect this VHDL constraint. .br \f4 lax Parameter file description\fP .br The lax file is common with other logic synthesis tools and is used for driving the synthesis process. See \f4lax\fP(5) manual for more detail. .br \f4lax\fP uses a lot of parameters to guide every step of the synthesis process. Some parameters are globally used (for example, \fIoptimization level\fP whereas others are specifically used (\f4load capacitance\fP for the netlist optimization only). Here is the default lax file (see the user's manual for further information about the syntax of the '.lax' file): .br .br Optimization mode = 2 (50% area - 50% delay) .br Input impedance = 0 .br Output capacitance = 0 .br Delayed input = none .br Auxiliary signal saved = none .br .br \f4 Mapping with a standard cell library\fP .br Every cell appearing in the directory defined by the environment variable MBK_TARGET_LIB may be used by \f4boog\fP since they are described as a '.vbe' file. There are some restrictions about the type of the cell used. Every cell has to have only one output. The cell must be characterized. The timing and area informations required by \f4boog\fP are specified in the "generic" clause of the ".vbe" file. .br .SH OPTION .TP 10 \f4\-h\fP Help mode. Displays possible uses of \f4boog\fP. .TP 10 \f4\-m optim_mode\fP Optimization mode. Can be defined in lax file, it's only a shortcut to define it on command line. This mode number has an array defined between \fI0\fP and \fI4\fP. It indicates the way of optimization the user wants. If \fI0\fP is chosen, the circuit area will be improved. On the other hand, \fI4\fP will improve circuit delays. \fI2\fP is a medium value for optimization. .TP 10 \f4\-x xsch_mode\fP Generate a '.xsc' file. It is a color map for each signals contained in \fIoutput_file\fP network. This file is used by \f4xsch\fP to view the netlist. By choosing level 0 or 1 for xsch_mode, you can color respectively the critical path or all signals with delay graduation. .TP 10 \f4\-o output_file\fP Just another way to show explicitely the \f4VST\fP output file name. .TP 10 \f4\-l lax_file\fP Just another way to show explicitely the \f4LAX\fP parameter file name. .TP 10 \f4\-d debug_file\fP Generates a \f4VBE\f debug file. It comes from internal result algorithm. Users aren't concerned. .br .SH ENVIRONMENT VARIABLES .br The following environment variables have to be set before using \f4boog\fP : .HP .ti 7 \fIMBK_CATA_LIB\fP gives the auxiliary paths of the directories of input files (behavioural description). .HP .ti 7 \fIMBK_TARGET_LIB\fP gives the path (single) of the directory of the selected standard cell library. .HP .ti 7 \fIMBK_OUT_LO\fP gives the output format of the structural description. .SH EXAMPLE .br You can call \f4boog\fP as follows : .br .br boog alu alu .SH SEE ALSO .br boog(1), boom(1), loon(1), lax(5), vbe(5), proof(1), asimut(1), vhdl(5), ocp(1), nero(1), sxlib(5). .br .so buster/alliance/alc_bug_report.1.en.gz